Re: [Bug 25773] Calling stop should generate ended event

On 18 May 2014 08:02,  <bugzilla@jessica.w3.org> wrote:
> yah - I think that 25248 came to the wrong conclusion. It's really nice to be
> able to have the same clean up code regardless of how it ended.

I think that I've been arguing toward the same conclusion as Cullen.
It's much easier to reason about a state machine if all the
transitions act the same.  It is also far more convenient. that way.

Received on Monday, 19 May 2014 02:05:35 UTC