[Bug 3830] Static typing of function declarations (K-Function-Prolog)

http://www.w3.org/Bugs/Public/show_bug.cgi?id=3830





------- Comment #2 from frans.englich@telia.com  2006-10-13 17:49 -------
Isn't type promotion invoked? See 3.1.5, "The function conversion rules are
used to convert an argument value or a return value to its expected type".

Received on Friday, 13 October 2006 17:49:18 UTC